title0a.gif タイトル画像

びんぼうでいいの for LED16X16 (U3R-LED16X16)

末尾の注意事項をお読み下さい。
本ページは金銭授受を伴う行為を含むAuctionや商用Web Pageからの無断リンク
・無断参照を禁じます。
無断リンク・無断参照が判明した時点で然るべき処置をとらさせて頂きます。

 キットの小部屋へ戻る   ホームページへ戻る

データ番号

1432

区 分

キット

分 類

マイコン

品 名

びんぼうでいいの for LED16X16 (U3R-LED16X16)

発売元

aitendo

価 格

1,750円(8%税別)

主要部品

CH340T ASM1117-5V XC6208-3.3V RCU6093W-B

電 源

概略仕様

 

概要

Arduino UNO互換機「びんぼうでいいの」 を用いてドットマトリックスユニットを駆動するキットです。

電源

Arduino UNO互換機「びんぼうでいいの」はUSBコネクタ給電 (5Vdc)用部品のみが添付しています。

ドットマトリックスユニットはArduino UNO互換機「びんぼうでいいの」の5を供給する仕様となっています。

Arduino用デバイス

ATMEGA328P−PU

「びんぼうでいいの」

基板仕様

 本キットに付属の「びんぼうでいいの」は「びんぼうでいいの (U3R)」 、「部品パック for びんぼうでいいの (U3-11P)」を参考願います。 本キットでは「部品パック for びんぼうでいいの (U3-11P)」に掲載の部品のうち、電源ジャック・タクトスイッチ (KEY_L・KEY_H)・ボックスヘッダが付属していません。

ドットマトリックス

ユニット

基板仕様

本基板は「ドットマトリックス(16X16)ユニット (U16X16−5V08DM)」として発売されていました。 (本Webページ作成時点では販売されていませんでした。)

電源電圧

5Vdc
本キットに付属の「びんぼうでいいの」から給電します。

LED表示器

1088BA

ドット構成

8ドット×8ドット/LED表示器

LED表示器
構成

縦2列、横2列 合計16ドット×16ドット

LED発光色 赤色

信号

5Vレベルロジック信号

OIA

74LS138 A入力(縦列選択データ)

OIB

74LS138 B入力(縦列選択データ)

OIC

74LS138 C入力(縦列選択データ)

OID

74LS138 G2A入力 (縦列イネーブル) 

OIE

74LS138 G2B入力 (縦列イネーブル) 

RCK

74LS549 RCK入力 (横列データレジスタラッチ/出力データ更新)

SCK

74LS549 SCK入力 (横列データレジスタシフト)

SER

74LS549 SER入力 (横列データ)

SET1

74LS549 QH出力 (横列データ)

 

 

 

 

 

 

   

付属基板

びんぼうでいいの基板 : binbodeiino

ドットマトリックスユニット基板 : LED−MM16X16

付属ケース

外形寸法

びんぼうでいいの基板 : W 75.9mm D 53.3mm H 15.5mm (コネクタ類含む)

ドットマトリックスユニット基板 : W 83.6mm D 70.3mm H 16.9mm (ピンヘッダ含む)

追加購入

部品

コメント

  •  ドットマトリックスユニット基板(「ドットマトリックス(16X16)ユニット (U16X16−5V08DM)」)を販売しているaitendoのWebページに本基板の回路図(A2.pdf)がありました。 この回路図ではラッチ付きシフトレジスタICの型番が74LS549と記載されていました。 しかし、この型番のデーターシートを見つけることができませんでした。 現品や当時の商品紹介Webページによれば74HC595が正しいようです。

改 造

その他

(製作例)

    

【 パッケージ外観 】

 

ドットマトリックスユニット基板上にドットマトリックスユニット4個が搭載されています。

【 構成品外観 】

 

【 ドットマトリックスユニット基板外観1 】

 

【 ドットマトリックスユニット基板外観2 】

 

ドットマトリックスユニット1088BSが4個付属しています。

【 ドットマトリックスユニット外観 】

 

【 「びんぼうでいいの」基板外観1 】

 

【 「びんぼうでいいの」基板外観 2(チップ部品部分拡大) 】

 

【 「びんぼうでいいの」基板外観3 】

 

 「部品パック for びんぼうでいいの (U3-11P)」と類似ですが、電源ジャック・タクトスイッチ (KEY_L・KEY_H)・ボックスヘッダが付属していません。 本パッケージには含まれていませんが、ブートローダ書込み済みのATMEGA328PとICソケットが本パッケージ以外に付属しています。 

【 「びんぼうでいいの」用部品パッケージ外観 】

 

【 「びんぼうでいいの」用部品 構成部品 】

 

【 「びんぼうでいいの」用部品  ATMEGA328P−PU・ICソケット 】

 

EXTENDED:0xFD HIGH:0xDE LOW:0xFF

【  ブートローダ書込み済みのATMEGA328P FUSES設定 】

 

LOCKBIT:0xCF

【  ブートローダ書込み済みのATMEGA328P LOCKBIT設定 】

 

【 ジャンパワイヤ(ソケット〜プラグ)外観1 】

 

【 ジャンパワイヤ(ソケット〜プラグ)外観2 】

 

【 ジャンパワイヤ(ソケット〜プラグ)外観3 】

  


びんぼうでいいの 製作例 

 「びんぼうでいいの (U3R)」 、「部品パック for びんぼうでいいの (U3-11P)」を利用して製作し た「びんぼうでいいの」とは下記の相違がありました。

  • 電源ジャック・タクトスイッチ (KEY_L・KEY_H)・ボックスヘッダの各部品は付属していません。

 

 水晶発振子は外装全体が金属製で、裏面も金属が露出しています。 そのため、プリント基板のパターンや周辺部品を短絡する可能性があります。 この対策として水晶振動子の底に絶縁シートを差し込んで絶縁します。 本品は2019年入手時のパッケージです。

【 準備1 水晶発振子用絶縁シート差し込み 】

 

 16MHz水晶振動子とATMEGA328P用ICソケットが干渉しないようにICソケットを仮付けして水晶発振子の位置決めをしました。

【 Step1 水晶発振子位置決め 】

 

【 Step2−1 水晶発振子取付け1 】

 

【 Step2−2 水晶発振子取付け2 】

 

【 Step3 タクトスイッチ(RESET)取付け 】

 

【 Step4 ICソケット取付け 】

 

目玉クリップを用いてピンソケットの整列をしました。

【 Step5 ピンソケット位置決め 】

 

【 Step6 ピンソケット取付け 】

 

【 Step7−1 USBコネクタ取付け 】

 

【 Step7−2 USBコネクタ取付け(ハンダ面) 】

 

 利用する可能性のあるDCジャックとピンヘッダを追加で取付けました。 これらの部品は手持ち品を利用しました。

【 Step8 DCジャック・ピンヘッダ準備 】

 

【 Step9−1 追加部品取付け 】

 

【 Step9−2 追加部品取付け(ハンダ面) 】

 


ドットマトリックスユニット基板 製作例 

 ドットマトリックスユニットの1番ピンが明示されていません。 ドットマトリックスユニット基板にハンダ付けする取付ける と、取り外しが難しいので、ハンダ付けする前に1番ピンを確認しました。

 デジタル式のテスターでダイオード順方向電圧測定レンジに設定して、測定プローブの+側を赤色クリップ、−側を青色クリップに接続しました。 1088BSのデーターシートを参考にして1番ピンに赤色クリップ、3番ピンに青色クリップを接続して点灯するLEDの位置がデーターシートどおりになっているかで1番ピンであるかどうかを判断しました。

 

【 クリップ接続状態 】

 

【 赤色クリップが1番ピンの場合のLED点灯位置 】

 

赤色クリップが9番ピンの場合です。

【 赤色クリップが1番ピンでない場合のLED点灯位置 】

 

【 ドットマトリックスユニット基板完成外観1 】

 

【 ドットマトリックスユニット基板完成外観2 】

 

【 ドットマトリックスユニット基板完成外観3 】

 


動 作 確 認

 「びんぼうでいいの for LED16X16 (U3R-LED16X16)」のWebページに参考スケッチが掲載されていました。(本Webページ作成時点ではaitendoの当該Webページは見つかりませんでした。) この参考スケッチを付属のATMEGA328P書き込んで動作確認をしました。

 

【 参考スケッチ書き込み画面 】

 

上記接続図をクリックすると原寸の画像をダウンロードもしくは閲覧できます。

【 接続図 】

 

【 動作確認時 外観1 】

 

【 動作確認時 外観2 】

 

【 動作確認時 外観3 】

 

【 動作確認時 外観4 】

 

【 スケッチ動作時(全体の様子) 】

 

【 スケッチ動作時(ドットマトリックス基板拡大) 】

  

データ作成者 CBA

 キットの小部屋へ戻る   ホームページへ戻る


注意事項


End of This Page.